Debugging ethernet

OK, I don’t have an ethernet shield so I’m dusting off my Wiz812 modules (like Rajesh used)

I’ve updated firmware, I’ve wired up what I think the correct pinouts are and set up the sample web server project with my own IP address and gateway address, but once done I can’t get PING response on the assigned IP, again completely different to Rajesh’s vid shows. Could be my network cabling, for sure, but I can’t really prove that at this point since I have only an out-of-room ADSL modem as my only hub device.

Is there a simple way to diagnose whether SPI and the module are playing nicely together? I have zip experience with this module so it could be faulty; more likely though I just have some pinout wrong. Rajesh, can you capture a couple of pictures of your pinouts for me, to make sure I’ve followed the data sheet correctly?

thanks in advance for any tips!

Maybe we can add a new method to detect the WIZnet chip…maybe it is already there> Joe will have to answer this one.

I don’t know whether I should have been surprised or not - but all the functions return VOID so there’s not much diagnostics you can get from it ???

I wasn’t referring to the socket methods…maybe the Wiznet initialize or another method to probe for WIZnet.

Hey Brett

I used the WIZ810MJ module, this is one that has the 2mm headers and some bug on the SPI interface but on the Panda there was no problem.

What are you specifically looking for ?

I have connected the module to SPI1 and use 2 digital pins for reset and CS.

Thanks everyone. I did the simple thing and wired up a stripboard with some female headers on it for the wiz module and a female header for the control wires - now things work as expected so it most likely was a wiring mistake I’d made when looking at the underside of the module ! :-[ Alls well that ends well though :wink:

Another thing to add to the new driver. ;D
Raise an exception if the Wiznet was not Enabled correctly. Stay tuned for the new release.
Thanks guys.